libdtrace: Fix another uninitialized dtt_flags UB
authorcem <cem@FreeBSD.org>
Fri, 16 Mar 2018 21:10:36 +0000 (21:10 +0000)
committercem <cem@FreeBSD.org>
Fri, 16 Mar 2018 21:10:36 +0000 (21:10 +0000)
commitef1175daa3681ebbec3e44a4b7366d1cb8685d13
tree4dbbdfbbc71db3c31a64a53fbc5b7cb9170b0e88
parentaafb72dd69c6bbd0709d47256c0046dcadfe95a1
libdtrace: Fix another uninitialized dtt_flags UB

Like r331073, eliminate a UB by fully initializing the struct with a designated
initializer.  Note that the similar src_dtt is not fully used, so a similar
treatment was not absolutely required.  I chose to leave it alone.  It
wouldn't hurt to do the same thing, though.

Reported by: Coverity
Sponsored by: Dell EMC Isilon
cddl/contrib/opensolaris/lib/libdtrace/common/dt_xlator.c